Header menu link for other important links
X
Expert prefetch prediction: An expert predicting the usefulness of hardware prefetchers
Published in Institute of Electrical and Electronics Engineers Inc.
2016
Volume: 15
   
Issue: 1
Pages: 13 - 16
Abstract
Hardware prefetching improves system performance by hiding and tolerating the latencies of lower levels of cache and off-chip DRAM. An accurate prefetcher improves system performance whereas an inaccurate prefetcher can cause cache pollution and consume additional bandwidth. Prefetch address filtering techniques improve prefetch accuracy by predicting the usefulness of a prefetch address and based on the outcome of the prediction, the prefetcher decides whether or not to issue a prefetch request. Existing techniques use only one signature to predict the usefulness of a prefetcher but no single predictor works well across all the applications. In this work, we propose weighted-majority filter, an expert way of predicting the usefulness of prefetch addresses. The proposed filter is adaptive in nature and uses the prediction of the best predictor(s) from a pool of predictors. Our filter is orthogonal to the underlying prefetching algorithm. We evaluate the effectiveness of our technique on 22 SPEC-2000/2006 applications. On an average, when employed with three state-of-the-art prefetchers such as AMPM, SMS, and GHB-PC/DC, our filter provides performance improvement of 8.1, 9.3, and 11 percent respectively. © 2015 IEEE.
About the journal
JournalData powered by TypesetIEEE Computer Architecture Letters
PublisherData powered by TypesetInstitute of Electrical and Electronics Engineers Inc.
ISSN15566056