Header menu link for other important links
X
Layout-aware and programmable memory BIST synthesis for nanoscale system-on-chip designs
Published in
2008
Pages: 351 - 356
Abstract
Debugging memory test failures in a system-on-chip design is becoming difficult due to the growing number and sizes of the embedded memories. Low-complexity marching tests, which are ideally suited for production testing, are insufficient for debug and diagnostics. On-chip support for multiple memory test algorithms can. be prohibitively expensive. Moreover, memory test engineers would like the flexibility to make small changes to the test sequence. Runtime programmability can be provided through the use of programmable finite state machines and/or microcode in the BIST controllers. Since such controllers have higher area requirement, it is difficult to employ multiple controllers and distribute them geographically on the chip. Therefore, the BIST controller can become a routing hot-spot. Existing memory BIST insertion flows operate on a post-synthesis net-list and ignore the constraints that will be posed by the physical design step that will follow. These constraints include routing congestion and interconnect timing. Similarly, the synthesis of the BIST logic must also address area, test application time and test power constraints. In this paper, we formulate the problem of programmable memory BIST synthesis as an optimization problem and describe an implementation. Results show upto 3X improvement in area and wirelength for industrial designs when a layout-aware flow is used as opposed to manual BIST implementation. © 2008 IEEE.
About the journal
JournalProceedings of the Asian Test Symposium
ISSN10817735
Open AccessNo
Concepts (30)
  •  related image
    AREA REQUIREMENTS
  •  related image
    BIST INSERTIONS
  •  related image
    EMBEDDED MEMORIES
  •  related image
    Finite state machines
  •  related image
    INDUSTRIAL DESIGNS
  •  related image
    MEMORY TESTS
  •  related image
    MULTIPLE CONTROLLERS
  •  related image
    MULTIPLE MEMORIES
  •  related image
    NANOSCALE SYSTEMS
  •  related image
    NUMBER AND SIZES
  •  related image
    On chips
  •  related image
    Optimization problems
  •  related image
    PHYSICAL DESIGNS
  •  related image
    Programmability
  •  related image
    PROGRAMMABLE MEMORIES
  •  related image
    ROUTING CONGESTIONS
  •  related image
    Synthesis of
  •  related image
    SYSTEM-ON-CHIP DESIGNS
  •  related image
    TEST APPLICATION TIMES
  •  related image
    TEST POWERS
  •  related image
    TEST SEQUENCES
  •  related image
    Wire lengths
  •  related image
    Application specific integrated circuits
  •  related image
    Data storage equipment
  •  related image
    Design
  •  related image
    Integrated circuit testing
  •  related image
    Integrated circuits
  •  related image
    Microprocessor chips
  •  related image
    Programmable logic controllers
  •  related image
    Testing